マーケットレポート詳細

極端紫外線(EUV)リソグラフィの世界市場:2028年に至るコンポーネント別、エンドユーザー別予測

Extreme Ultraviolet (EUV) Lithography Market by Equipment (Light Sources, Masks and Optics), End User (Integrated Device Manufacturer (IDM) and Foundry) and Region (Americas, Europe and Asia Pacific) - Global Forecast to 2028

出版元:MarketsandMarkets   出版元について
発行年:2023年7月
定価 :Single User License(1名様ライセンス)US$4,950(米国ドル)/ Multi User License(5名様)$6,650 / Corporate User License $8,150
ご予算に応じた各種ご提案も承ります。詳細はお問い合わせください。
ご請求は円換算(お見積り日TTSレート)となります。
納品形態:PDF by Email
当調査レポートは英文144ページになります。
商品コード:MAM3336

お問い合わせ、お見積りのリクエストは下のボタンをクリックしてご入力ください。

【レポート紹介】

極端紫外線リソグラフィの世界市場規模は2023年で94億ドル、2028年に253億ドル、市場の平均年成長率は21.8%になると予測されています。

レポートは極端紫外線リソグラフィの世界市場について2028年までの市場予測データ(金額US$、数量Units)を掲載しています。同市場をさまざまな区分で細分化し、そのセグメント市場ごとの市場予測(コンポーネント別市場、エンドユーザー別市場、等)を中心に構成されています。また競合状況、主要企業情報(9社)、エコシステムマッピング、ケーススタディなどの分析も加味し、極端紫外線リソグラフィ市場の動向および今後成長性を詳細にレポートしています。

【レポート構成概要】

◆極端紫外線リソグラフィの世界市場予測2019-2028年

・市場規模(US$)
・数量(Units)

◆コンポーネント別、市場-2028年

・光源
・光学
・マスク
・その他
※(市場規模US$)

◆エンドユーザー別、市場-2028年

・垂直統合型デバイスメーカー(IDM)
・ファウンドリ
※(市場規模US$) (数量Units)

◆主要国地域別市場-2028年

南北アメリカ
欧州
アジア太平洋
・日本、中国、台湾、韓国
・その他アジア太平洋
※国地域別にエンドユーザー別の細分化データ掲載、詳細は目次参照

◆市場分析

・市場ダイナミクス(促進要因、障壁、機会、課題)
・バリューチェーン分析
・エコシステムマッピング
・プライシング分析
・技術分析
・業界構造分析
・ケーススタディ
・貿易分析
・特許分析
・競合状況
・市場シェア分析

◆極端紫外線リソグラフィの主要企業プロフィール動向

・ASML
・CARL ZEISS AG
・TOPPAN株式会社
・エヌ・ティ・ティ・アドバンステクノロジ株式会社
・KLA CORPORATION
・株式会社アドバンテスト
・ウシオ電機株式会社
・SUSS MICROTEC SE
・AGC株式会社
・LASERTEC CORPORATION
その他企業
・ENERGETIQ TECHNOLOGY INC
・NUFLARE TECHNOLOGY INC
・PHOTRONICS, INC
・HOYA株式会社
・TRUMPF
・リガク・ホールディングス株式会社
・EDMUND OPTICS LTD
・PARK SYSTEMS
・ZYGO CORPORATION
・IMAGINE OPTIC
・APPLIED MATERIALS, INC

(全144頁)
【レポート詳細目次、データ項目一覧は当ページ下を参照ください】

Table of Contents (英文詳細目次)

1      INTRODUCTION

1.1             STUDY OBJECTIVES
1.2             MARKET DEFINITION
1.3             STUDY SCOPE   20
1.3.1              MARKETS COVERED   20
・     FIGURE 1            EUV LITHOGRAPHY MARKET SEGMENTATION
1.3.2              INCLUSIONS AND EXCLUSIONS
1.3.3              REGIONAL SCOPE
1.3.4              YEARS CONSIDERED   21
1.4             CURRENCY CONSIDERED
1.5             UNITS CONSIDERED
1.6             LIMITATIONS   22
1.7             STAKEHOLDERS
1.8             SUMMARY OF CHANGES
1.8.1              RECESSION IMPACT  23

2      RESEARCH METHODOLOGY

2.1             RESEARCH DATA
・     FIGURE 2            EUV LITHOGRAPHY MARKET: RESEARCH DESIGN
2.1.1              SECONDARY DATA
2.1.1.1            KEY DATA FROM SECONDARY SOURCES
2.1.1.2            KEY SECONDARY SOURCES
2.1.2              PRIMARY DATA
2.1.2.1            KEY DATA FROM PRIMARY SOURCES
2.1.2.2            KEY PARTICIPANTS IN PRIMARY INTERVIEWS
2.1.2.3            BREAKDOWN OF PRIMARY INTERVIEWS
2.1.2.4            KEY INDUSTRY INSIGHTS
2.1.3              SECONDARY AND PRIMARY RESEARCH
2.2             MARKET SIZE ESTIMATION
・     FIGURE 3            MARKET SIZE ESTIMATION METHODOLOGY: APPROACH 1 (SUPPLY SIDE): REVENUE GENERATED BY KEY COMPONENT SUPPLIERS IN EUV LITHOGRAPHY MARKET
・     FIGURE 4            MARKET SIZE ESTIMATION METHODOLOGY: APPROACH 2 (SUPPLY SIDE): ILLUSTRATION OF REVENUE ESTIMATED FOR KEY COMPONENT MANUFACTURERS IN EUV LITHOGRAPHY MARKET
・     FIGURE 5            MARKET SIZE ESTIMATION METHODOLOGY: APPROACH 3 (DEMAND SIDE) —BOTTOM-UP ESTIMATION OF EUV LITHOGRAPHY MARKET SIZE BASED ON REGION
2.2.1              BOTTOM-UP APPROACH
2.2.1.1            APPROACH TO DERIVE MARKET SIZE USING BOTTOM-UP ANALYSIS
・     FIGURE 6            MARKET SIZE ESTIMATION METHODOLOGY: BOTTOM-UP APPROACH
2.2.2              TOP-DOWN APPROACH   33
2.2.2.1            APPROACH TO DERIVE MARKET SIZE USING TOP-DOWN ANALYSIS
・     FIGURE 7            MARKET SIZE ESTIMATION METHODOLOGY: TOP-DOWN APPROACH
2.3             MARKET SHARE ESTIMATION
2.4             DATA TRIANGULATION
・     FIGURE 8            DATA TRIANGULATION
2.5             RISK ASSESSMENT
・     TABLE 1              RISK FACTOR ANALYSIS
2.5.1              RECESSION IMPACT ANALYSIS
2.6             RESEARCH ASSUMPTIONS AND LIMITATIONS
2.6.1              RESEARCH ASSUMPTIONS
2.6.2              RESEARCH LIMITATIONS

3      EXECUTIVE SUMMARY

3.1             EUV LITHOGRAPHY MARKET: RECESSION IMPACT
・     FIGURE 9            EUV LITHOGRAPHY MARKET: IMPACT OF RECESSION
・     FIGURE 10          FOUNDRIES TO COMMAND EUV LITHOGRAPHY MARKET IN 2023
・     FIGURE 11          EUV LITHOGRAPHY MARKET IN ASIA PACIFIC TO GROW AT HIGHEST CAGR DURING FORECAST PERIOD

4      PREMIUM INSIGHTS

4.1             ATTRACTIVE OPPORTUNITIES FOR PLAYERS IN EUV LITHOGRAPHY MARKET
・     FIGURE 12          USE OF TECHNOLOGY IN MICROPROCESSORS, INTEGRATED CIRCUITS, AND MEMORY DEVICES TO CREATE OPPORTUNITIES FOR PROVIDERS OF EUV LITHOGRAPHY SYSTEMS
4.2             EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY END USER AND COUNTRY
・     FIGURE 13          FOUNDRIES AND TAIWAN TO HOLD LARGEST SHARE OF EUV LITHOGRAPHY MARKET IN ASIA PACIFIC IN 2023
4.3             EUV LITHOGRAPHY MARKET, BY END USER
・     FIGURE 14          FOUNDRIES TO HOLD LARGER SHARE OF EUV LITHOGRAPHY
MARKET IN 2028
4.4             EUV LITHOGRAPHY MARKET, BY COMPONENT
・     FIGURE 15          LIGHT SOURCES TO ACCOUNT FOR LARGEST MARKET SHARE IN 2028
4.5             EUV LITHOGRAPHY MARKET, BY COUNTRY
・     FIGURE 16          SOUTH KOREA TO RECORD HIGHEST CAGR IN EUV LITHOGRAPHY MARKET IN ASIA PACIFIC DURING FORECAST PERIOD

5      MARKET OVERVIEW

5.1             INTRODUCTION
5.2             MARKET DYNAMICS
・     FIGURE 17          EUV LITHOGRAPHY MARKET: DRIVERS, RESTRAINTS, OPPORTUNITIES, AND CHALLENGES
5.2.1              DRIVERS
5.2.1.1            RAPID MIGRATION TOWARD ADVANCED TECHNOLOGIES AND NODES
5.2.1.2            GROWING DEMAND FOR HIGH-PERFORMANCE COMPUTING (HPC)
5.2.1.3            INCREASING COMPLEXITY OF INTEGRATED CIRCUITS
・     FIGURE 18          EUV LITHOGRAPHY MARKET DRIVERS: IMPACT ANALYSIS
5.2.2              RESTRAINTS
5.2.2.1            NEED FOR SIGNIFICANT UPFRONT CAPITAL INVESTMENT
5.2.2.2            REQUIREMENT FOR ADVANCED INFRASTRUCTURE AND HIGHLY SKILLED WORKFORCE   47
・     FIGURE 19          EUV LITHOGRAPHY MARKET RESTRAINTS: IMPACT ANALYSIS
5.2.3              OPPORTUNITIES
5.2.3.1            INCREASING INVESTMENTS IN DEVELOPING ADVANCED EUV LITHOGRAPHY PRODUCTS AND SEMICONDUCTOR DEVICES
5.2.3.2            RISING DEPLOYMENT OF EUVL TECHNOLOGY IN NEW APPLICATIONS, INCLUDING MICROPROCESSORS, ICS, AND MEMORY MODULES/CHIPS
5.2.3.3            DEVELOPMENT OF ADVANCED MEMORY MODULES/CHIPS FOR NEXT-GENERATION MEMORY DEVICES
5.2.3.4            COMMERCIALIZATION OF ADVANCED DISPLAYS TO OFFER ENHANCED VISUAL EXPERIENCE   49
5.2.3.5            USE OF ADVANCED PATTERNING TECHNOLOGIES IN PRODUCTION OF PHOTONICS AND OPTICS
・     FIGURE 20          EUV LITHOGRAPHY MARKET OPPORTUNITIES: IMPACT ANALYSIS
5.2.4              CHALLENGES
5.2.4.1            ACHIEVING AND MAINTAINING HIGH POWER AND FASTER PRODUCTION OF CHIPS
5.2.4.2            DETECTING AND REPAIRING MASK DEFECTS AND FIXING YIELD ISSUES
・     FIGURE 21          EUV LITHOGRAPHY MARKET CHALLENGES: IMPACT ANALYSIS
5.3             VALUE CHAIN ANALYSIS
・     FIGURE 22          EUV LITHOGRAPHY VALUE CHAIN ANALYSIS
5.3.1              R&D ENGINEERS   53
5.3.2              COMPONENT MANUFACTURERS
5.3.3              SYSTEM INTEGRATORS
5.3.4              MARKETING & SALES SERVICE PROVIDERS
5.3.5              END USERS
5.4             REVENUE SHIFT AND NEW REVENUE POCKETS FOR PLAYERS IN EUV LITHOGRAPHY MARKET
5.5             ECOSYSTEM MAPPING
・     TABLE 2              ROLES OF COMPANIES IN EUV LITHOGRAPHY ECOSYSTEM
・     FIGURE 23          KEY PLAYERS IN EUV LITHOGRAPHY MARKET
5.6             PRICING ANALYSIS
5.6.1              AVERAGE SELLING PRICE (ASP) TREND
・     FIGURE 24          ASP OF EUV LITHOGRAPHY SYSTEM, 2019 TO 2028
5.7             TECHNOLOGY ANALYSIS
5.7.1              EXTREME ULTRAVIOLET REFLECTOMETRY (EUVR)
5.8             PORTER’S FIVE FORCES ANALYSIS
・     FIGURE 25          PORTER’S FIVE FORCES ANALYSIS
・     TABLE 3              PORTER’S FIVE FORCES ANALYSIS WITH THEIR IMPACT
5.8.1              INTENSITY OF COMPETITIVE RIVALRY
5.8.2              THREAT OF NEW ENTRANTS
5.8.3              THREAT OF SUBSTITUTES
5.8.4              BARGAINING POWER OF BUYERS
5.8.5              BARGAINING POWER OF SUPPLIERS
5.9             CASE STUDIES
5.9.1              LAM RESEARCH ANNOUNCES SIGNIFICANT BREAKTHROUGH IN EUV LITHOGRAPHY TECHNOLOGY
・     TABLE 4              LAM RESEARCH INTRODUCES INNOVATIVE DRY RESIST TECHNOLOGY TO IMPROVE RESOLUTION, PRODUCTIVITY, AND YIELD FOR EUV LITHOGRAPHY
5.9.2              TSMC LIMITED BOOSTS CHIP PRODUCTION CAPACITY WITH ASML'S EUV SYSTEMS
・     TABLE 5              TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY’S COMMITMENT TO EUV TECHNOLOGY AND MARKET GROWTH
5.10          TRADE DATA ANALYSIS
・     FIGURE 26          COUNTRY-WISE EXPORT DATA FOR PRODUCTS CLASSIFIED UNDER HS CODE 8442, 2018–2022
・     TABLE 6              EXPORT SCENARIO FOR HS CODE 8442-COMPLIANT PRODUCTS,
BY COUNTRY, 2018–2022 (USD THOUSAND)
・     FIGURE 27          COUNTRY-WISE IMPORT DATA FOR PRODUCTS CLASSIFIED UNDER HS CODE 8442, 2018–2022
・     TABLE 7              IMPORT SCENARIO FOR HS CODE 8442-COMPLIANT PRODUCTS,
BY COUNTRY, 2018–2022 (USD THOUSAND)
5.11          PATENT ANALYSIS
5.11.1            DOCUMENT TYPE
・     TABLE 8              PATENTS FILED
・     FIGURE 28          PATENTS FILED FROM 2013 TO 2022
5.11.2            PUBLICATION TREND   62
・     FIGURE 29          NO. OF PATENTS PUBLISHED EACH YEAR FROM 2013 TO 2022
5.11.3            JURISDICTION ANALYSIS
・     FIGURE 30          JURISDICTION ANALYSIS
5.11.4            TOP PATENT OWNERS   63
・     FIGURE 31          TOP 10 COMPANIES IN TERMS OF PUBLISHED PATENT APPLICATIONS FROM 2013 TO 2022
・     TABLE 9              TOP 20 OWNERS OF PUBLISHED PATENTS IN LAST 10 YEARS
5.12          REGULATORY LANDSCAPE
5.12.1            REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS
・     TABLE 10            EUV LITHOGRAPHY: REGULATORY LANDSCAPE
5.12.2            REGIONAL REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS
・     TABLE 11            NORTH AMERICA: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS
・     TABLE 12            EUROPE: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS
・     TABLE 13            ASIA PACIFIC: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS
・     TABLE 14            ROW: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS
5.13          KEY CONFERENCES AND EVENTS, 2023–2024
5.14          KEY STAKEHOLDERS AND BUYING CRITERIA
5.14.1            KEY STAKEHOLDERS IN BUYING PROCESS
・     FIGURE 32          INFLUENCE OF STAKEHOLDERS ON BUYING PROCESS FOR END USERS
・     TABLE 15            INFLUENCE OF STAKEHOLDERS IN BUYING PROCESS FOR END USERS (%)
5.14.2            KEY BUYING CRITERIA
・     TABLE 16            KEY BUYING CRITERIA, BY END USER

6      EUV LITHOGRAPHY MARKET, BY COMPONENT

6.1             INTRODUCTION
・     FIGURE 33          LIGHT SOURCES TO RECORD HIGHEST CAGR IN EUV LITHOGRAPHY MARKET DURING FORECAST PERIOD
・     TABLE 17            EUV LITHOGRAPHY MARKET, BY COMPONENT, 2019–2022 (USD MILLION)
・     TABLE 18            EUV LITHOGRAPHY MARKET, BY COMPONENT, 2023–2028 (USD MILLION)
6.2             LIGHT SOURCES
6.2.1              WIDE USE OF LPP EUV LIGHT SOURCES IN COMMERCIAL SYSTEMS TO DRIVE MARKET
6.3             OPTICS
6.3.1              HIGH PRECISION AND ACCURACY OF EUV OPTICS TO BOOST ADOPTION BY EUV LITHOGRAPHY SYSTEM MANUFACTURERS
6.4             MASKS
6.4.1              INCREASING FOCUS ON DEVELOPING NEXT-GENERATION SEMICONDUCTOR DEVICES TO FUEL SEGMENTAL GROWTH
6.5             OTHERS

7      EUV LITHOGRAPHY MARKET, BY END USER

7.1             INTRODUCTION
・     FIGURE 34          FOUNDRIES TO RECORD HIGHER CAGR IN EUV LITHOGRAPHY MARKET DURING FORECAST PERIOD
・     TABLE 19            EUV LITHOGRAPHY MARKET, BY END USER, 2019–2022 (USD MILLION)
・     TABLE 20            EUV LITHOGRAPHY MARKET, BY END USER, 2023–2028 (USD MILLION)
・     TABLE 21            EUV LITHOGRAPHY MARKET, 2019–2022 (MILLION UNITS)
・     TABLE 22            EUV LITHOGRAPHY MARKET, 2023–2028 (MILLION UNITS)
7.2             INTEGRATED DEVICE MANUFACTURERS (IDMS)
7.2.1              RISING FOCUS OF IDMS ON DEVELOPING ADVANCED AND POWER-EFFICIENT MICROCHIPS AND ICS TO BOOST SEGMENTAL GROWTH
・     TABLE 23            INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET, BY REGION, 2019–2022 (USD MILLION)  78
・     TABLE 24            INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET, BY REGION, 2023–2028 (USD MILLION)  78
・     TABLE 25            INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2019–2022 (USD MILLION)
・     TABLE 26            INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2023–2028 (USD MILLION)
7.3             FOUNDRIES   79
7.3.1              CONSTANT ADVANCES IN SEMICONDUCTOR NODES TO DRIVE DEMAND FOR EUV LITHOGRAPHY FROM FOUNDRIES
・     TABLE 27            FOUNDRIES: EUV LITHOGRAPHY MARKET, BY REGION,
2019–2022 (USD MILLION)
・     TABLE 28            FOUNDRIES: EUV LITHOGRAPHY MARKET, BY REGION,
2023–2028 (USD MILLION)
・     TABLE 29            FOUNDRIES: EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2019–2022 (USD MILLION)
・     TABLE 30            FOUNDRIES: EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2023–2028 (USD MILLION)

8      EUV LITHOGRAPHY MARKET, BY REGION

8.1             INTRODUCTION
・     FIGURE 35          ASIA PACIFIC TO REGISTER HIGHEST CAGR IN EUV LITHOGRAPHY MARKET DURING FORECAST PERIOD
・     TABLE 31            EUV LITHOGRAPHY MARKET, BY REGION, 2019–2022 (USD MILLION)
・     TABLE 32            EUV LITHOGRAPHY MARKET, BY REGION, 2023–2028 (USD MILLION)
8.2             AMERICAS
8.2.1              WELL-ESTABLISHED SEMICONDUCTOR INDUSTRY TO SUPPORT MARKET GROWTH
・     FIGURE 36          AMERICAS: MARKET SNAPSHOT
・     TABLE 33            AMERICAS: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION)
・     TABLE 34            AMERICAS: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)
8.2.2              AMERICAS: RECESSION IMPACT
8.3             EUROPE
8.3.1              INVESTMENTS BY GERMANY, NETHERLANDS, AND FRANCE IN R&D OF EUV LITHOGRAPHY PRODUCTS TO DRIVE MARKET
・     FIGURE 37          EUROPE: MARKET SNAPSHOT
・     TABLE 35            EUROPE: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)
8.3.2              EUROPE: RECESSION IMPACT
8.4             ASIA PACIFIC
・     FIGURE 38          ASIA PACIFIC: MARKET SNAPSHOT
・     TABLE 36            ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY COUNTRY,
2019–2022 (USD MILLION)
・     TABLE 37            ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY COUNTRY,
2023–2028 (USD MILLION)
・     TABLE 38            ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION)
・     TABLE 39            ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)

8.4.1              CHINA
8.4.1.1            STRONG SEMICONDUCTOR PRODUCTION CAPABILITIES TO DRIVE MARKET
・     TABLE 40            CHINA: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION)
・     TABLE 41            CHINA: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)
8.4.2              JAPAN
8.4.2.1            PRESENCE OF WELL-KNOWN PROVIDERS OF HIGH-QUALITY SEMICONDUCTOR CHIPS TO FUEL MARKET GROWTH
・     TABLE 42            JAPAN: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION)
・     TABLE 43            JAPAN: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)
8.4.3              TAIWAN
8.4.3.1            SUBSTANTIAL INVESTMENTS BY SEMICONDUCTOR MANUFACTURING FIRMS TO DEVELOP ECO-FRIENDLY EUV SYSTEM COMPONENTS TO DRIVE MARKET
・     TABLE 44            TAIWAN: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION)
・     TABLE 45            TAIWAN: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)
8.4.4              SOUTH KOREA
8.4.4.1            INCREASING USE OF EUV LITHOGRAPHY TO PRODUCE CUTTING-EDGE SEMICONDUCTOR CHIPS TO ACCELERATE MARKET GROWTH
・     TABLE 46            SOUTH KOREA: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION)
・     TABLE 47            SOUTH KOREA: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)
8.4.5              REST OF ASIA PACIFIC
・     TABLE 48            REST OF ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION)
・     TABLE 49            REST OF ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION)
8.4.6              ASIA PACIFIC: RECESSION IMPACT

9      COMPETITIVE LANDSCAPE

9.1             OVERVIEW
・     FIGURE 39          KEY STRATEGIES UNDERTAKEN BY LEADING PLAYERS IN EUV LITHOGRAPHY MARKET FROM 2021 TO 2023
9.2             MARKET SHARE AND RANKING ANALYSIS
・     TABLE 50            EUV LITHOGRAPHY MARKET: DEGREE OF COMPETITION
・     FIGURE 40          MARKET SHARE OF TOP 5 PLAYERS OFFERING EUV LITHOGRAPHY COMPONENTS
9.2.1              FIVE-YEAR COMPANY REVENUE ANALYSIS
・     FIGURE 41          FIVE-YEAR REVENUE ANALYSIS OF KEY COMPANIES
9.3             COMPANY EVALUATION MATRIX, 2022
・     FIGURE 42          EUV LITHOGRAPHY MARKET (GLOBAL): EVALUATION MATRIX FOR KEY COMPANIES, 2022
9.3.1              STARS
9.3.2              EMERGING LEADERS
9.3.3              PERVASIVE PLAYERS
9.3.4              PARTICIPANTS
9.4             COMPETITIVE BENCHMARKING
・     TABLE 51            COMPANY FOOTPRINT
・     TABLE 52            END USER FOOTPRINT
・     TABLE 53            REGION FOOTPRINT
9.5             EVALUATION MATRIX FOR STARTUPS/SMES, 2022
・     FIGURE 43          EUV LITHOGRAPHY MARKET: EVALUATION
MATRIX FOR STARTUPS/SMES, 2022
9.5.1              PROGRESSIVE COMPANIES
9.5.2              RESPONSIVE COMPANIES
9.5.3              DYNAMIC COMPANIES
9.5.4              STARTING BLOCKS
9.5.5              LIST OF KEY STARTUPS/SMES
・     TABLE 54            EUV LITHOGRAPHY MARKET: LIST OF MAJOR STARTUPS/SMES
9.5.6              COMPETITIVE BENCHMARKING OF KEY STARTUPS/SMES
・     TABLE 55            EUV LITHOGRAPHY MARKET: COMPETITIVE
BENCHMARKING OF KEY STARTUPS/SMES
9.6             COMPETITIVE SCENARIO
9.6.1              DEALS
・     TABLE 56            EUV LITHOGRAPHY MARKET: DEALS, MAY 2020–MARCH 2023

10   COMPANY PROFILES

(BUSINESS OVERVIEW, PRODUCTS/SOLUTIONS/SERVICES OFFERED, RECENT DEVELOPMENTS, AND MNM VIEW (KEY STRENGTHS/RIGHT TO WIN, STRATEGIC CHOICES MADE, AND WEAKNESSES AND COMPETITIVE THREATS))*
10.1          INTRODUCTION
10.2          KEY PLAYERS   105
10.2.1            ASML
・     TABLE 57            ASML: COMPANY OVERVIEW
・     FIGURE 44          ASML: COMPANY SNAPSHOT
10.3          KEY EQUIPMENT MANUFACTURERS
10.3.1            CARL ZEISS AG
・     TABLE 58            CARL ZEISS AG: COMPANY OVERVIEW
・     FIGURE 45          CARL ZEISS AG: COMPANY SNAPSHOT
10.3.2            TOPPAN INC.
・     TABLE 59            TOPPAN INC: COMPANY OVERVIEW    111
・     FIGURE 46          TOPPAN INC.: COMPANY SNAPSHOT
10.3.3            NTT ADVANCED TECHNOLOGY CORPORATION
・     TABLE 60            NTT ADVANCED TECHNOLOGY CORPORATION: COMPANY OVERVIEW
・     FIGURE 47          NTT ADVANCED TECHNOLOGY CORPORATION: COMPANY SNAPSHOT
10.3.4            KLA CORPORATION   117
・     TABLE 61            KLA CORPORATION: COMPANY OVERVIEW
・     FIGURE 48          KLA CORPORATION: COMPANY SNAPSHOT
10.3.5            ADVANTEST CORPORATION
・     TABLE 62            ADVANTEST CORPORATION: COMPANY OVERVIEW
・     FIGURE 49          ADVANTEST CORPORATION: COMPANY SNAPSHOT
10.3.6            USHIO INC.
・     TABLE 63            USHIO INC.: COMPANY OVERVIEW    123
・     FIGURE 50          USHIO INC.: COMPANY SNAPSHOT  124
10.3.7            SUSS MICROTEC SE   125
・     TABLE 64            SUSS MICROTEC SE: COMPANY OVERVIEW
・     FIGURE 51          SUSS MICROTEC SE: COMPANY SNAPSHOT
10.3.8            AGC INC.
・     TABLE 65            AGC INC.: COMPANY OVERVIEW    127
・     FIGURE 52          AGC INC.: COMPANY SNAPSHOT  128
10.3.9            LASERTEC CORPORATION
・     TABLE 66            LASERTEC CORPORATION: COMPANY OVERVIEW
・     FIGURE 53          LASERTEC CORPORATION: COMPANY SNAPSHOT
10.4          OTHER KEY PLAYERS
10.4.1            ENERGETIQ TECHNOLOGY INC.
10.4.2            NUFLARE TECHNOLOGY INC.
10.4.3            PHOTRONICS, INC. 134
10.4.4            HOYA CORPORATION   135
10.4.5            TRUMPF
10.4.6            RIGAKU CORPORATION
10.4.7            EDMUND OPTICS LTD.
10.4.8            PARK SYSTEMS
10.4.9            ZYGO CORPORATION   138
10.4.10         IMAGINE OPTIC
10.4.11         APPLIED MATERIALS, INC.
*DETAILS ON BUSINESS OVERVIEW, PRODUCTS/SOLUTIONS/SERVICES OFFERED, RECENT DEVELOPMENTS, AND MNM VIEW (KEY STRENGTHS/RIGHT TO WIN, STRATEGIC CHOICES MADE, AND WEAKNESSES AND COMPETITIVE THREATS) MIGHT NOT BE CAPTURED IN CASE OF UNLISTED COMPANIES.

11             APPENDIX

△ 一番上に戻る